summaryrefslogtreecommitdiff
path: root/test/Makefile
blob: 43a108ba957c19b7af6e2e80cbfc8899458082bd (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
# int2tap - Convert an Intel segment file to a loadable Spectrum tape file
# 
# Copyright (C) 2004  Ian Cowburn (ianc@noddybox.demon.co.uk)
# 
# This program is free software; you can redistribute it and/or modify
# it under the terms of the GNU General Public License as published by
# the Free Software Foundation; either version 2 of the License, or
# (at your option) any later version.
# 
# This program is distributed in the hope that it will be useful,
# but WITHOUT ANY WARRANTY; without even the implied warranty of
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
# GNU General Public License for more details.
# 
# You should have received a copy of the GNU General Public License
# along with this program; if not, write to the Free Software
# Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA
# 
# -------------------------------------------------------------------------
# 
# $Id: Makefile,v 1.3 2004-08-22 23:05:59 ianc Exp $
# 

# One file with loader test
#
test1: ../int2tap code.bin
	../int2tap test1.tap code.bin
	fuse test1.tap

# Two file with loader test, split and with forced address test
#
test2: ../int2tap code.bin screen.bin
	../int2tap -a 0x8000 -s test2.tap screen.bin code.bin
	fuse test2.tap

# Binary only test
#
test3: ../int2tap screen.bin
	../int2tap -b test3.tap screen.bin
	fuse test3.tap

# Test for examination to see if it matches .TAP docs
#
test4: ../int2tap b2.bin
	../int2tap -b test4.tap b2.bin

# Binary only test (again for some reason...)
#
test5: ../int2tap code.bin
	../int2tap -b test5.tap code.bin
	fuse test5.tap

# M/C with just a RET (so the BASIC can be examined)
#
test6: ../int2tap ret.bin
	../int2tap test6.tap ret.bin
	fuse test6.tap

# Two files into one binary test
#
test7: ../int2tap part1.bin part2.bin
	../int2tap test7.tap part1.bin part2.bin
	fuse test7.tap

# CLEAR test
#
test8: ../int2tap reset.bin
	../int2tap -c 0x7fff test8.tap reset.bin
	fuse test8.tap

code.bin: code.asm
	tpasm -P Z80 -o intel code.bin code.asm

screen.bin: screen.asm
	tpasm -P Z80 -o intel screen.bin screen.asm

b2.bin: b2.asm
	tpasm -P Z80 -o intel b2.bin b2.asm

ret.bin: ret.asm
	tpasm -P Z80 -o intel ret.bin ret.asm

part1.bin: part1.asm
	tpasm -P Z80 -o intel part1.bin part1.asm

part2.bin: part2.asm
	tpasm -P Z80 -o intel part2.bin part2.asm

reset.bin: reset.asm
	tpasm -P Z80 -o intel reset.bin reset.asm

../int2tap: ../*.[ch]
	cd .. ; make ; cd test

clean:
	rm -f *.bin *.tap core